rv6: make test silent